TTTC Header Image
TTTC's Electronic Broadcasting Service

26th IEEE VLSI TEST SYMPOSIUM (VTS 2008)
SDD, WTW, & TTEP TUTORIALS
April 27th - May 1st, 2008
Rancho Bernardo Inn, San Diego, California, USA

http://www.tttc-vts.org

CALL FOR PARTICIPATION

DISCOUNTED VTS 2008 ROOM RATES EXTENDED TO APRIL 7, 2008, 5:00pm PST!
Room Rates At the Rancho Bernardo Inn will Increase after APRIL 7, 2008

ADVANCE REGISTRATION RATES EXTENDED TO APRIL 11, 2008, 5:00pm PST! https://www.cemamerica.com/vts2008/

VTS 2008 -- SDD 2008 -- WTW 2008 -- TTEP Tutorials


26th IEEE VLSI TEST SYMPOSIUM (VTS 2008)
Scope -- Venue -- Social -- Advance Program

VTS Scope

top

The IEEE VLSI Test Symposium explores emerging trends and novel concepts in the testing of integrated circuits and systems. The symposium is a leading international forum where many of the world's leading test experts and professionals from both industry and academia join to present and debate key issues in testing. VTS 2008 addresses key trends and challenges in the semiconductor design and manufacturing industries through an exciting program that includes Keynote and Plenary Talks, Technical Paper Sessions, Embedded Tutorials, Panels, Hot Topic Sessions, Full-day Tutorials, co-located Workshops, and the Innovative Practices Track.

VTS Venue

top
Rancho Bernardo Inn Golf Resort & Spa is San Diego’s Premier Golf Resort, just minutes from everything that makes San Diego one of the nations most popular Travel Destinations.

VTS Social Program

top

Tuesday, April 29, 2008

For this year's social event we will journey south of the US border to visit Baja California, Mexico. The Tourist Corridor just south of the California border includes Tijuana, Ensenada and Rosarito. The region has long endeared itself to visiting Americans and International travelers in search of sprawling coastlines with a Southern California climate.

Our first stop will be Tecate, Mexico. Tecate offers a charming small-town ambience even today, an attraction that keeps bringing tourists into the town. There's a thriving artists' colony that influences the temper of the town. Rather than the usual curios found in border towns, local pottery, tile and glassworks are displayed at shops and handicraft centers, and local art is displayed at galleries around town. We will have time to sample local sweet breads and beer for which the town is famous, and visit Hidalgo Plaza, where visitors can enjoy Tecate's unique beauty.

Next, we visit Rosarito, Mexico. Rosarito lies along the sea coast, and has a mild climate with plenty of sun and a soft sea breeze. Each year, thousands of tourists run from the hustle and bustle of large cities to relax on the beaches of Rosarito.

We will dine at a beautiful beach-side resort in nearby Puerto Nuevo. We will be met with a welcome drink and enjoy the sunset and a nice dinner with traditional Mexican food and folkloric dance and mariachi.

Please do not forget to bring your passport to participate in this exciting excursion. Foreign visitors should ensure that they have double or multiple entry visas to the US if necessary.

The web site for US passport requirements can be found at U.S. Department of States International Travel Information to Mexico and Western Hemisphere Travel Initiative

The web link to VISA requirements to Mexico for citizens of US and other countries can be found at Mexican Foreign Ministry VISA for Foreigners

Busses will leave the Hotel at 3:30 p.m. and depart Baja at 11 p.m. There is no extra cost for this program for VTS attendees who register at member and non-member rates. Students and companions of VTS attendees can register for the Social Program for $100 per person. To guarantee your participation in this program you must register before April 11, 2008.

VTS Advance Program

top

Monday -- Tuesday -- Wednesday

Monday, April 28th, 2008

9:00 am - 10:30 am

Plenary Session

Welcome message: Alex Orailoglu, General Chair
Keynote Speaker: Michael Campbell, Senior Vice President of Engineering, Qualcomm CDMA Technologies
Program Introduction: Peter Maxwell and Cecila Metra, Program Co-Chairs
Invited Keynote: "A Revolution in Design and Test Technology," Prof. Melvin Breuer, University of Southern California
Awards Presentation TTTC Most Successful Technical Meeting Award
TTTC Most Populous Technical Meeting Award
VTS 2007 Best Paper Award
VTS 2007 Best Panel Award
VTS 2007 Best Innovative Practices Award
10:30 am - 12:00 pm
Sessions 1

Session 1A: TESTING FOR HIGH SPEED COMMUNICATION SYSTEMS
Moderator: B. Courtois – TIMA

1A.1 Low-cost Test of Timing Mismatch Among Time-Interleaved A/D Converters in High-speed Communication Systems
Q. Dou, J. Abraham – Univ. of Texas at Austin

1A.2 Test Enabled Process Tuning for Adaptive Baseband OFDM Processor
M. Nisar, A. Chatterjee –Georgia Inst. of Tech.

1A.3 Bit-Error Rate Estimation for Bang-Bang Clock and Data Recovery Circuit in High-Speed Serial Links
D. Hong, K.T. Cheng – Univ. of California, Santa Barbara

Session 1B: COMPACTION FOR TESTING
Moderator: T. Williams – Synopsys

1B.1 How Many Test Patterns are Useless?
F.F. Ferhani – Stanford Univ., N. Saxena – NVIDIA, E. McCluskey – Stanford CRC, P. Nigh – IBM

1B.2 Constructing Augmented Multimode Compactors
E. Gizdarski – Synopsys

1B.3 Increasing Output Compaction in Presence of Unknowns using an X-Canceling MISR with Deterministic Fault Detection
R. Garg, N. Touba – Univ. of Texas at Austin, R. Putman – Cirrus Logic

IP Session 1C: HIGHWAYS TO ZERO-DEFECTS: INDUSTRIAL APPROACHES
Organizers: A. K. Majhi – NXP
Moderator: D. Wu – Intel

Description: Critical products like automotive, aerospace and medical demand 0-defect silicon. This Innovative Practices session will address different approaches taken by some of the key semiconductor manufacturers to achieve zero-defects. The three presentations in this session, and their descriptions are given below.

Presentations:
1C.1 DFT Opportunities to achieve Zero Defects
R. Raina, L. Winemberg – Freescale

1C.2 Statistical Scan Diagnosis - new road to high quality
S. Eichenberger, C. Hora, J. Geuzebroek, B. Kruseman, A. K. Majhi – NXP

1C.3 Extending Quality Beyond Time Zero Through Additional DFT and Test
S. K. Vooka, V. Jayaram, R. Parekhji – Texas Instruments

1:20 pm - 2:20 pm
Sessions 2

Session 2A: ATE DATA VOLUME AND FALSE/ ACCEPTABLE TEST FAILS
Moderator: E. Volkerink – Verigy

2A.1 Inconsistent Fails due to Limited Tester Timing Accuracy
I. Park, D. Lee – Stanford Univ., E. Chmelar – LSI Logic, E. McCluskey - Stanford
CRC

2A.2 A Regression Based Technique for ATE-aware Test Data Volume Estimation of System-on-Chips (SoCs)
S. Ravi, R. Tiwari, A. Shrivastava, M. Warhadpande, R. Parekhji – Texas Instruments

2A.3 Basing acceptable error-tolerant performance on significance-based error-rate (SBER)
Z. Pan, M. Breuer –Univ. of Southern California

Session 2B: TEST AND DIAGNOSIS OF SCAN CHAINS
Moderator: B. Cory – Nvidia

2B.1 Diagnosis of Scan Clock Failures
N. Basturkmen, K. L. Lee, S. Venkataraman – Intel

2B.2 An Efficient Scan Chain Diagnosis Method Using a New Symbolic Simulation
S. Chun, Y. Kim, T. Kim, S. Kang – Yonsei Univ.

2B.3 On the Detectability of Scan Chain Internal Faults - An Industrial Case Study
F. Yang – Univ. of Iowa, S. Chakravarty, N. Devta-Prasanna – LSI Logic, S. Reddy – Univ. of Iowa, Irith Pomeranz – Purdue Univ.

IP Session 2C: DEVICE DEGRADATION AND INFANT MORTALITY
Organizers: J. W. Tschanz – Intel
Moderator: G. Eide – Magma

Description: In an era of increasing performance targets and ever more stringent power requirements, design margins are shrinking more than ever. It is therefore critical to be able to understand the factors which impact product reliability and to accurately predict their effects. Overestimate the impact of NBTI, HCI, and TDDB on the product, and critical performance or power is left on the table. Underestimate these effects and the product suffers an unacceptable failure rate. Further complicating this picture is the fact that the relative importance of these different degradation mechanisms can change drastically from one process generation to the next.
The three presentations in this session show how a combination of careful modeling combined with product measurement data is used to set the reliability guardbands that are used. The speakers will demonstrate their unique approaches towards reliability modeling and characterization, and give insight into the reliability testing and characterization challenges that lie ahead.

Presentations:
2C.1 Realistic Projections of Product Fmax and Vmin Shifts due to HCI, NBTI, and TDDB
A. Haggag – Freescale

2C.2 Comprehending NBTI at the Product Level
V. Reddy – Texas Instruments

2C.3 In-line Manufacturing Measurement of Infant Mortality Thermal Activation Energy
A. Vassighi – Intel

2:40 pm - 3:40 pm

Sessions 3

Session 3A: MEMORY DIAGNOSIS AND REPAIR
Moderator: M. Rodgers

3A.1 An SRAM Design-for-Diagnosis Solution based on Write Driver Voltage Sensing
A. Ney, P. Girard, S. Pravossoudovitch, A. Virazel – LIRMM, M. Bastian, V. Gouin – Infi neon

3A.2 An Efficient March-Based Three-Phase Fault Location and Full Diagnosis Algorithm for Realistic Two-operation Dynamic Faults in Random Access Memories
G. Harutyunyan, V. Vardanian – Virage Logic

3A.3 Design and Analysis of a Self-Repairing SRAM with On-Chip Monitor and Compensation Circuitry
N. Mojumder – Purdue Univ., S. Mukhopadhyay – Georgia Inst. of Tech., J. J. Kim, C.T. Chuang – IBM T. J. Watson Research Center, K. Roy – Purdue Univ.

Session 3B: SPECIAL SESSION NEW TOPIC: WHY NANOSCALE PHYSICS FAVORS QUANTUM INFORMATION & WHY COMPUTING IS POSSIBLE IN SPITE OF QUANTUM UNCERTAINTY
Organizer: B. Courtois – TIMA
Moderator: B. Kaminska – Simon Fraser Univ.

Presenters:
I. Markov – Univ. of Michigan
J. Hayes – Univ. of Michigan

Description: As transistor dimensions approach atomic scale, quantum-mechanical effects such as tunneling and spin become important ingredients in accurate performance models of integrated circuits.

IP Session 3C: AUTOMATIC TEST DEVELOPMENT FOR MIXED-SIGNAL/RF CIRCUITS
Organizer: V. Zivkovic – NXP
Moderator: K. Arabi – Qualcomm

Presentations:
3C.1 ATPG for SerDes Testing on any ATE, Bench-Top, or Simulator
S. Sunter, A. Roy, G. Danialy – LogicVision

3C.2 Test Verification and Program Generation for Modular System-on-Chips with Mixed-Signal Cores
V. Zivkovic, R. Jonker – NXP

3C.3 Automating Test Development for Mixed-Signal and RF circuits - Can Current Test Help?
H. Manhaeve – Q-Star

4:00 pm - 5:00 pm Sessions 4

Session 4A: MODELING AND TESTING FOR NANOMETER CMOS
Moderator: S. Venkataraman – Intel

4A.1 Gate Oxide Early Life Failure Prediction
T. W. Chen, K. Kim, Y. M. Kim, S. Mitra– Stanford Univ.

4A.2 Full Open Defects Under Tunnelling Leakage Current in Nanometric CMOS
D. A. Delgado, R. Rodriguez-Montanes, J. Figueras – Universitat Politècnica de Catalunya, S. Eichenberger, C. Hora, B. Kruseman – NXP

4A.3 Signature Rollback - A Technique for Testing Robust Circuits
U. Amgalan, C. Hachmann, S. Hellebrand – Univ. of Paderborn, H. J. Wunderlich - Univ. of Stuttgart

Session 4B: LOW POWER SCAN TESTING
Moderator: C. Landrault – LIRMM

4B.1 Bounded Adjacent Fill for Low Capture Power Scan Testing
A. Chandra, R. Kapur – Synopsys

4B.2 Reducing Scan Shift Power at RTL
E. Alpaslan, J. Dworak – Brown Univ., Y. Huang, X. Lin, W. T. Cheng – Mentor Graphics

4B.3 Scan-Chain Reordering for Minimizing Scan-Shift Power Based on Non-Specified Test Cubes
J. Wu – National Chiao Tung Univ.

IP Session 4C: BRIDGING PRE-SILICON VERIFICATION AND POST-SILICON VALIDATION AND DEBUG
Organizers: E.J. Marinissen – NXP
Moderator: N. Nicolici – McMaster Univ.

Description: In this session, we discuss how to bridge the pre-silicon verification to post-silicon validation and debug. Can test patterns and test benches developed for verification be reused during validation? Can bugs identified in validation be confirmed again in the verification environment? How can the DfT infrastructure available on-chip for manufacturing test help with bridging pre-silicon verification and post-silicon validation and debug? Are the scan chains sufficient or do we need more on-chip support? Are there any other established test technologies that can aid the post-silicon validation process?

Presentations:
4C.1 Pre-Silicon Verification Perspective
G. Shurek, A. Adir– IBM

4C.2 Post-Silicon Design-for-Debug Perspective
M. Abramovici, P. Bradley – DAFCA

4C.3 Post-Silicon Hardware/Software Co-Debug Perspective
B. Vermeulen, K. Goossens – NXP

8:00 pm - 9:30 pm Sessions 5

Special Session 5A: SPECIAL SESSION EMBEDDED TUTORIAL: ROBUST DESIGN: TECHNIQUES AND TRENDS
Organizer: M. Zhang – Intel
Moderator: Z. Navabi – Worcester Poly

Description: Relentless technology scaling presents a challenging task of designing reliable systems in the presence of transient, intermittent, and gradual errors. It is crucial for the design & test community to understand the origin and impact of these errors, methods to characterize, screen, and analyze them, as well as design techniques to mitigate them. In this session, the speakers will provide comprehensive reviews of these three aspects of the robust design challenges with different industry/academia perspectives. Discussion on error sources will focus on soft errors, process variation, and device degradation. Both theoretical modeling of these effects and experimental data from test chips will be presented. We will focus on logic and memory circuits for the design techniques to demonstrate the principles of robust design. A full-chip deployment strategy of such robust circuit elements will also be discussed. Experimental data and theoretical projection on sub-65nm technologies will be presented to illustrate the trend and potential new challenges for future technologies and motivate future robust design research.

Presenters:
K. Roy – Purdue Univ.
K. Agarwal – IBM
M. Zhang – Intel

Special Session 5B: SPECIAL SESSION APPRENTICE – VTS EDITION
Organizer/Moderator: K. S. Kim – Intel

Description: The main objective of this active "panel" is to increase technical interaction among attendees. Team leaders listed below will recruit participants to their team. Each team will try to clearly articulate the problems and come up with proposals to solve this problem. These teams will present their findings and business proposals in front of judges later during the conference. The winning team will be announced during the social event.

Presenters:
A. Crouch – Verigy
J. Dastidar – Altera
A. Gattiker – IBM
R. Kapur – Synopsys
S. Ozev – Duke Univ.

Special Session 5C: SPECIAL SESSION Student Posters
Organizer: J. Plusquellic – UMBC


Tuesday, April 29th, 2008

8:30 am - 9:30 am

Sessions 6

Session 6A: TESTING OF ANALOG CIRCUITS
Moderator: J. M. Cooper – Intel

6A.1 A Time-Domain Method for Pseudo-Spectral Characterization
A. Mishra, M. Soma – Univ. of Washington

6A.2 A Built-In TFT Array Charge-Sensing Technique for System-on-Panel Displays
C. W. Lin, J. L. Huang – National Taiwan Univ.

6A.3 Fast Accurate Tests for Multi-Carrier Transceiver Specifications: Phase Noise and EVM
R. Senguttuvan, A. Chatterjee, S. Bhattacharya – Georgia Inst. of Tech.

Session 6B: ATPG I
Moderator: L. Miclea – U Tech of Cluj

6B.1 Automatic Test Pattern Generation for Interconnect Open Defects
S. Spinner, I. Polian, P. Engelke, B. Becker – Albert Ludwigs Univ. of Freiburg, M. Keim, W. T. Cheng – Mentor Graphics

6B.2 On the Relaxation of N-detect Test Sets
S. N. Neophytou, M. Michael – Univ. of Cyprus

6B.3 Test-Pattern Ordering for Wafer-Level Test-During-Burn-In
S. Bahukudumbi, K. Chakrabarty – Duke Univ.

IP Session 6C: POST-SILICON VALIDATION: CURRENT PRACTICES AND NEW CHALLENGES
Organizer: S. Gupta – Univ. of South. Cal.
Moderator: M. Hunt – Qualcomm

Description: In most existing flows for custom or semi-custom design, the quality of chips shipped to customers is ensured via a sequence of three processes, namely pre-silicon verification of a chip’s design, post-silicon validation of the first-silicon for the design (i.e., the first set of chips fabricated for the design), and testing of each fabricated copy when the design is fabricated in high volume. While verification and testing have been active areas of research, post-silicon validation has developed primarily via intensive engineering practice. However, a noticeable trend has emerged in recent years: Despite advances in design and verification, for high-performance designs it is becoming increasingly common for many causes of circuit misbehavior that can cause significant yield loss to be first discovered during post-silicon validation. This presents numerous challenges, many of interest to the testing community. In this session, validation experts from three leading companies will share their insights. First, they will describe their validation methodologies and some recent case studies. Second, they will present emerging challenges, especially those the testing community may be able to address, e.g., development of new approaches to estimate and enhance quality of validation and new techniques to identify root causes behind erroneous behaviors exposed during validation.

Presentations:
6C.1 Post-silicon validation challenges of highly integrated processors
P. Patra, C. Prudvi – Intel

6C.2 A bug's life... and how the test research community can shorten it
I. Parulkar – Sun Microsystems

6C.3 Optimizing ATPG scan stimulus for post-silicon validation debug with diagnostic equipment
J. West, J. Drummond, C. Bullock, C. Pilch – Texas Instruments

9:50 am - 10:50 am
Sessions 7

Session 7A: TESTING OF RF CIRCUITS
Moderator: M. Sawan – Ecole Poly de Montreal

7A.1 Low Cost RF Receiver Parameter Measurement with On-chip Amplitude Detectors
C. Zhang, R. Gharpurey, J. Abraham – Univ. of Texas at Austin

7A.2 An Integrated BiST Solution for Characterizing RF Transceivers through a Single Measurement
E. Erdogan, S. Ozev – Duke Univ.

7A.3 ACT: Adaptive calibration test based performance improvement and test enhancement of wireless RF front ends
V. Natarajan, R. Senguttuvan, S. Sen, A. Chatterjee – Georgia Inst. of Tech.

Session 7B: TESTING OF TRANSITION FAULTS AND SMALL DELAY DEFECTS
Moderator: N. Touba – Univ. of Texas at Austin

7B.1 Synthesis for Broadside Testability of Transition Faults
I. Pomeranz – Purdue Univ, S. Reddy – Univ. of Iowa

7B.2 LSTDF: Low-Switching Transition Delay Fault Pattern Generation
M. Tehranipoor, J. Lee – Univ. of Connecticut

7B.3 Test-Pattern Grading and Pattern Selection for Small-Delay Defects
M. Yilmaz, K. Chakrabarty, – Duke Univ., M. Tehranipoor – Univ. of Connecticut

IP Session 7C: DESIGN FOR YIELD AND MANUFACTURABILITY
Organizer: S. Shoukourian – Virage Logic
Moderator: S. Taneja – Cadence

Description: Increased manufacturing variability in leading-edge process technologies requires new paradigms and solution technologies for yield optimization. SoC manufacturability and yield entails design-specific optimization of the manufacturing, and thus enhanced communications across the design-manufacturing interface. A wide range of Design-for-Manufacturability (DFM) and Design-for-Yield (DFY) methodologies and tools have been proposed in recent years. Some of these tools are leveraged during back-end design, others are applied post-GDSII, and still others are applied post-design, from reticle enhancement and lithography through wafer sort, packaging, final test and failure analysis. DFY and DFM can dramatically impact the business performance of chip manufacturers. It can also significantly affect age-old chip design flows. Using DFY and DFM solutions is an investment, and choosing the most cost effective one(s) requires careful analysis of integration and schedule overheads, versus quantified benefits. This session analyzes the key trend and challenges, and provides a set of innovative DFM and DFY practices used for today’s SoC designs.

Presentations:
7C.1 Performance Binning in the Presence of Process Variability
A. Majumdar, V. Ganti - AMD

7C.2 Yield Acceleration based on Design for Yield and Manufacturability
Y. Zorian - Virage Logic

7C.3 A Realistic View of DFM or Search for the Holy Grail
M. d’Abreu - SanDisk

11:10 am - 12:10 pm
Sessions 8

Session 8A: DELAY TEST AND MEASUREMENT
Moderator: V. Agrawal – Auburn Univ.

8A.1 Dynamic Compaction for High Quality Delay Test
Z. Wang, D. Walker – Texas A&M Univ.

8A.2 An All-Digital High-Precision Built-In Delay Time Measurement Circuit
M. C. Tsai, C. H. Cheng – Feng-Chia Univ.

8A.3 Error Sequence Analysis
J. Lee, I. Park – Stanford Univ., E. McCluskey - Stanford CRC

Session 8B: TESTING AND ERROR TOLERANCE FOR EMERGENT TECHNOLOGY CIRCUITS
Moderator: R. Makki – UAE Univ.

8B.1 QBIST: 1-Testable Quantum Built-In Self-Test for any Boolean Circuit
Y. H. Chou, I-M. Tsai, S. Y. Kuo – National Taiwan Univ.

8B.2 A Statistical Approach to Characterizing and Testing Functionalized Nanowires
J. Dardig, E. Stern, M. Reed, Y. Makris – Yale Univ., H. Stratigopoulos – TIMA

8B.3 A Metric for Assessing the Error Tolerance of Tile Sets for Punctured DNA Self-assemblies
M. Hashempour, Z. M. Arani, F. Lombardi – Northeastern Univ.

IP Session 8C: STIL UTILIZATION IN PRACTICE
Organizer: K. Hatayama – STARC
Moderator: P. Mantri – Sun Microsystems

Description: Standardization of test-related information, not only test data but also testing environmental information, is key for realizing totally standardized test environment from design to diagnosis. On this point, STIL, Standard Test Interface Language (IEEE 1450.x series, see table below) can have an important role.

Presentations:
8C.1 Building Standard Test Environment based on STIL
H. Kamitokusari, T. Aikyo - STARC

8C.2 A STIL-based Desktop ATPG Diagnostic Environment
G.Danialy, S.Pateras– LogicVision

8C.3 STILAccess: Shared Libraries for STIL Parser Modes
H. Date – System JD

1:45 pm - 3:15 pm

Sessions 9

Special Session 9A: HOT TOPIC SESSION YIELD MANAGEMENT & DPPM REDUCTION
Organizers: P. Ehlig, A. Kokrady – Texas Instruments
Moderator: T. M. Mak – Intel

Description: With shrinking technology, it is getting harder to manufacture and test memories which today occupy more than 50%-60% of chip area and dominate the defects and customer returns in today’s integrated circuits. Previously unheard of fault/defect types are causing designs to fail reducing yield and increasing Defective Parts per Million (DPPM). It is important for the design & test community to understand the need to screen and analyze the defects and find out techniques to mitigate them. In this session, we explore various ways of reducing the impact on yield and DPPM.

Presentations:
9A.1 Memory Yield Improvement through Multiple Test Sequences and Application-aware Fault Models
A. Kokrady, C.P. Ravikumar, N. Chandrachoodan – Texas Instruments

9A.2 Lithography and Memories: >From Shapes to Electrical
P. Gupta – Univ. of Cal. Los Angeles, C. Wu – Aprio Technologies

9A.3 Panel Discussion: Yield Management and DPPM Reduction for sub micron memories
Presenters:

P. Ehlig – Texas Instruments
Y. Zorian – Virage Logic
R. Aitken – ARM

Session 9B: SPECIAL SESSION EMBEDDED TUTORIAL: NANOELECTRONICS – WHAT NEXT? FROM
MOORE’S LAW TO FEYNMAN’S VISION
Organizers: S. Mourad – Santa Clara Univ., Y. Zorian – Virage Logic
Moderator: S. Mourad – Santa Clara Univ.

Description: The limit of present silicon transistors is set by the manufacturing process and not by the laws of physics. Emerging nanomaterials has provided new possibilities for higher packing density, higher carrier mobilities, and higher/lower dielectric constants. Although nanotechnology is usually defined as utilizing technology with less than 100nm in the minimum feature size, nanoelectronics often refer to devices that are so small that inter-atomic interaction, ballistic transport, and quantum mechanical properties need to be studied. These phenomena are expected to assume much more prominent roles in silicon-based devices fabricated using sub-45 nm technologies.
Nanoelectronic devices such as Single Electron Transistor, Resonant Tunnel Diodes and Quantum Dot Arrays are still under development as practical circuitry, but they do hold a great promise. This is also true of molecular devices that can self-assemble to form a large system. One of the best known families of nanomaterials is carbon nanostructures such as nanotube, nanofiber, and graphene. They hold great promise as candidates for ultra-fast switches as well as interconnect and thermal interface materials. In this session, three speakers will present different facets of nanoelectronics to prepare us test engineers for the challenges integrated circuit technology faces now and in the near future.

Presentations:
9B.1 One Dimensional Nanostructures and their Applications
M. Meyyappan – NASA Ames Research Center

9B.2 Emerging Nanoelectronic Devices
B. Yu– UARC/NASA Ames Research Center

9B.3 Carbon Nanostructures as On-chip Interconnects
C. Y. Yang - Santa Clara Univ.

Session 9C: HOT TOPIC SESSION TTTC 2008 BEST DOCTORAL THESIS CONTEST
Organizer: Y. Makris – Yale University
Moderator: H. Stratigopoulos – TIMA

Judges:
M. Abadir – Freescale
T. M. Mak – Intel
T. McLaurin – ARM
J. Rajski – Mentor Graphic
J. Saxena – Texas Instruments

Description: This session is the final round of the TTTC 2008 Best Doctoral Thesis Contest. This contest is organized by the TTTC Student Activities Committee for the fourth consecutive year and aims to promote and strengthen the interaction between graduate students and the industrial community, as well as to serve as a process by which student work is exposed to and tested under real-life industrial needs. This is achieved by offering students the chance to present their work in a conference environment to academic and industrial test experts, who will evaluate and comment in terms of novelty and advancement of industrial practice.
In the preliminary round of this contest, doctoral students who are expected to graduate in 2008 were invited to submit a one page abstract of their thesis, where they defined the problem and its relevance to industry, described existing industrial practices for solving the problem and explained the proposed methodology and how it advances the theory and/or practice in the particular field. The abstracts were reviewed by a panel of industrial and academic experts and a set of finalists were selected for the final round of the contest.
In this final round, during a dedicated session at the IEEE VLSI Test Symposium (VTS’08), each contestant is given a ten minute slot in front of a panel of experts, split equally between oral presentation and Q&A period. The panel of experts will judge the presented doctoral theses with regards to theoretical advancement, industrial relevance and presentation, and the winner of the contest will receive the 2008 TTTC Doctoral Thesis Award during the social event of the Symposium, to which all finalists are invited. The award consists of a certificate, an honorarium and an invitation to submit a paper on the presented work to the IEEE Design & Test magazine.

Contestants:
Sudarshan Bahukudumbi (Duke University - USA), Giuseppe Di Guglielmo (Universita di Verona - Italy), Francois-Fabien Ferhani (Stanford University - USA), Michelangelo Grosso (Politecnico di Torino - Italy), Gurgen Harutyunyan (Yerevan State University - Armenia), Naghmeh Karimi (University of Tehran - Iran), Ritesh Turakhia (Portland State University - USA), Devanathan Varadarajan (Indian Institute of Technology Madras, India)

3:30 pm - 11:00 pm Social Program

Wednesday, April 30th, 2008

9:00 am - 10:30 am

Sessions 10

Session 10A: TESTING OF MIXED SIGNAL CIRCUITS
Moderator: I. Hartanto – Xilinx

10A.1 Efficient Loopback Test for Aperture Jitter in Embedded Mixed-Signal Circuits
B. Kim, J. Abraham – Univ. of Texas at Austin, N. Khouzam – National Semiconductor

10A.2 Test Compaction for Mixed-Signal Circuits Using Pass-Fail Test Data
S. Biswas, R. S. Blanton – Carnegie Mellon Univ.

10A.3 Parallel Loopback Test of Mixed-Signal Circuits
J. Park, H. Shin, J. Abraham – Univ. of Texas at Austin

Session 10B: ATPG II
Moderator: H. Konuk – Broadcom

10B.1 Expanded Definition of Functional Operation Conditions and its Effects on the Computation of Functional Broadside Tests
I. Pomeranz – Purdue Univ., S. Reddy – Univ. of Iowa

10B.2 An ATPG Methodology to Detect Weight Related Defects in Threshold Logic Gates
M. K. Goparaju, S. Tragoudas – Southern Illinois Univ.

10B.3 Fault Nodes in Implication Graph for Equivalence/Dominance Collapsing, and Identifying Untestable and Independent Faults
S. Rajamani – Qualcomm, M. Bushnell – Rutgers Univ., V. Agrawal – Auburn Univ.

IP Session 10C: TESTING FOR COMPLEX FAILURE MECHANISMS AND PROCESS VARIATIONS OF MEMORIES
Organizers: M. Azimane – NXP
Moderator: B. Wang – AMD

Description: Memory area on current designs in the 90nm, 65nm and 45nm designs is increasing exponentially given the nature of applications and the drive to embed entire systems on a single chip. With ever increasing process complexity and shrinking technologies, different defect types that manifest themselves as complex failure mechanisms and process variations on memories are also increasing proportionally. Traditional memory test techniques like functional vectors are no longer sufficient. In the mean time, new DFT methods and Built-in Self-Test (BIST) approaches are evolving to test for these defects and garner enough data to increase memory test efficiency.
In the first presentation, Azimane et al. from NXP Semiconductors will focus on additional DFTs that could be implemented in addition to BIST test algorithms to catch complex failure mechanisms and worst case process variations. They show that the fault coverage of conventional march tests have reached saturation phase and time has come to jump to new test methods.
In the second presentation, and in cooperation between LIRMM and Infineon, Dilillo et al. have analyzed the impact of technology scaling on defects and parameter deviations in embedded SRAMs. They showed how the impact of manufacturing defects may vary with the level of integration (130 nm down to 45 nm) of eSRAMs core-cell belonging to the same family. Secondly, they illustrate the effects of technology scaling (130 nm down to 45 nm) on device parameter variations.
In the third presentation, Jayaram et al. from Texas Instrument will address the pros/cons of BIST techniques and show how programmable BIST offers almost unlimited flexibility on screening known and unknown defects in the presence of process variation. In addition, they will offer some case-studies on hard-to-screen defects and present some implementation-level details of programmable BIST on today’s complex designs. They will also address the aspect of designing memories to make test easier and highlight some features that are critical in enabling efficient debug. Finally, they will cover some aspects of hard vs. soft repair and external vs. Built-in Self-Repair (BISR).

Presentations: 
10C.1 Dealing with complex failure mechanisms for high quality testing in Embedded SRAMs
M. Azimane, B.Kruseman, S. Eichenberger – NXP

10C.2 Impact of Technology Scaling on Defects and Parameter Deviations in Embedded SRAMs
L. Dilillo, P. Girard, C. Landrault, S. Pravossoudovitch, A. Virazel – LIRMM, M. Bastian, V. Gouin – Infineon

10C.3 Flexible Memory Test Architectures for Combating Subtle Defects and Process Variations
V. Jayaram, S. Lai – Texas Instruments

10:20 am - 11:20 am
Sessions 11

Session 11A: DEBUG AND DIAGNOSIS
Moderator: M. Michael – Univ. of Cyprus

11A.1 Fast Measurement of the "Non-deterministic Zone" in Microprocessor Debug using Maximum Likelihood Estimation
D. Tadesse, R. I. Bahar – Brown Univ., J. Grodstein – Intel

11A.2 Expanding Trace Buffer Observation Window for In-System Silicon Debug through Selective Capture
J. S. Yang, N. Touba – Univ. of Texas at Austin

11A.3 A General Failure Candidate Ranking Framework
C.C. Yen, S.T. Lin, H. Lin – Springsoft, K. Yang, Y. C. Hsu, T. Liu – Novas

Special Session 11B: SPECIAL SESSION EMBEDDED TUTORIAL: A SURVEY OF ON-CHIP DELAY
MEASUREMENT TECHNIQUES FOR PRODUCTION TEST – FROM NANO TO PICOSECONDS
Organizer & Presenter: S. Sunter – LogicVision

Abstract: As CMOS IC dimensions scale below 90 nm, delays-of-interest range from nanoseconds to picoseconds. This increases the need for greater time measurement accuracy but off-chip (ATE-based) delay measurement techniques are becoming severely limited by fundamental properties of signal access paths off-chip and on-chip, such as noise, wire length, and impedance variation. On-chip measurement techniques have been proposed by IC designers, DFT engineers, and test engineers, with claimed accuracies ranging from nanoseconds to femtoseconds, at least in simulation.
This tutorial will survey most of the papers that provide silicon results published in the last 10 years, in both design and test journals/conferences, and some representative papers that include only simulated results, to discover the most promising directions for measuring and production testing today’s and future delays-of-interest. Delay parameters include instantaneous delay, average delay, and delay variation (long and short term, including jitter) in digital, analog, and wire paths, and they typically depend on voltage, frequency, and conditions. The measurement techniques will be categorized by suitability for measurement of one-shot and periodic events, then by measurement principle, and lastly by circuit technique and reported real-silicon capabilities.
The goal is to identify principles and techniques suitable for production testing (quick and process tolerant, using insignificant silicon area) and for IC characterization and debug too (flexible to handle unanticipated delays and accuracy).
Some of the conclusions are: isolation between engineers in different disciplines (design, DFT, test) results in sub-optimal solutions; simulations can be enhanced to include many deleterious effect but real silicon provides surprises when it comes to picoseconds; progress in the last 10 years has not tracked technology scaling because of discipline isolation and emphasis on simulation; a new standard test pin type might accelerate progress towards meeting production test requirements.
The intended audience is DFT and test engineers, and researchers.

IP Session 11C: NEW EMERGING PRACTICES FOR SEMICONDUCTOR TEST
Organizers: P. Roddy – Advantest
Moderator: D. Appello – ST Microelectronics

Description: This session will focus on practical applications for the semiconductor test process. The rapidly expanding development of new semiconductor products is putting a strain on the industry's test resources. Several of the ITC 2007 speakers highlighted the additional effort that will be required in the test area, to keep up with all the new designs. This session will explore new and innovative test applications that are being used to address these challenges.

Presentations:
11C.1 Development of Common Tools & Tester Language for ATE
P. Decher – TSSI

11C.2 New RF Testing Innovations
K. Schaub – Advantest

11C.3 Migration of PXI Instruments into Semiconductor Production Test
E. Starkloff – National Instruments

11:40 am - 12:40 pm
Sessions 12

Session 12A: FAULT TOLERANCE
Moderator: F. Lombardi – Northeastern Univ.

12A.1 Algorithm Level Fault Tolerance: a New Technique to Cope with Radiation Induced Faults in Matrix Multiplication Algorithms
C. Lisboa, L. Carro – Universidade Federal do Rio Grande do Sul, C. Argyrides, D. Pradhan – Bristol Univ.

12A.2 Codeword Selection for Crosstalk Avoidance and Error Correction on Interconnects
Y. Zhang, H. Li, X. Li, Y. Hu – Chinese Academy of Sciences

12A.3 Low Cost Highly Robust Hardened Storage Cells Using Blocking Feedback Transistors
M. Nicolaidis – TIMA, D. Alexandrescu, R. Perez – IRoC

Session 12B: TESTING OF PATH DELAY FAULTS
Moderator: C. Aktouf – Defacto

12B.1 Multiple Coupling Effects Oriented Path Delay Test Generation
M. Zhang, H. Li, X. Li – Chinese Academy of Sciences

12B.2 A novel SBST generation technique for path-delay faults in microprocessors based on BDD analysis and evolutionary algorithm
M. Grosso, P. Bernardi, E. Sanchez, M. Sonza Reorda – Politecnico di Torino, K. Christou, M. Michael – Univ. of Cyprus

12B.3 An Industrial Case Study of Sticky Path-Delay Faults
I. Huang, S. Gupta – Univ. of South. Cal., Y. S. Chang – Intel, S. Chakravarty – LSI Logic

IP Session 12C: FAULT LOCALIZATION PRACTICES AND CHALLENGES
Organizer: S. Tammali – Texas Instruments
Moderator: B. Eklow – Cisco

Presentations:
12C.1 Current practices of FA Engineer / DFT engineer and Challenges
S. Tammali, K. Scott Wills, D. Paul – Texas Instruments

12C.2 Principle and Practice of Modern Scan Diagnostics
S. Cook, B. Benware – Mentor Graphics

12C.3 Tester-based Scanning Optical Microscope Techniques for Fault Localization
J. C. Phang – SEMICAPS, M. R. Bruce – AMD

2:00 pm - 3:30 pm

Sessions 13

Special 13A: SPECIAL SESSION PANEL: MITIGATING RELIABILITY, YIELD AND POWER ISSUES IN NANO-CMOS: DESIGN PROBLEM OR EDA PROBLEM?
Organizer: M. Nicolaidis – TIMA
Moderator: Y. Zorian – Virage Logic

Co-Organized with: IEEE Design & Test of Computers

Description: Silicon based CMOS technologies are fast approaching their manufacturability limits. In newer processes, power dissipation, fabrication yield, and reliability are steadily worsening, making further nanometric scaling increasingly difficult. In particular, yield as well as reliability are threatened by issues such as manufacturing process variations, on-chip voltage and temperature variability, accelerated aging and wearout, radiation induced soft-errors and cross talk.

Panelists:
S. Bhabhu – Cadence
R. A. Parekhji – Texas Instruments
M. Nicolaidis – TIMA
M. Zhang – Intel

Session 13B: HOT TOPIC SESSION BIOMEDICAL DEVICES – NEW TEST CHALLENGES
Organizer: B. Kaminska – Simon Fraser Univ.
Moderator: K. Eshraghian– Univ. of Cal., Merced

Presentations:
13B.1 Massively parallel wireless sensing from the cortex: Design and test challenges
M. Sawan– Ecole Polytechnique

13B.2 Design and Calibration of EEG Electrode Arrays for Wearable BCI
G. Cauwenberghs – Univ. of Cal., San Diego

13B.3 Testing of Digital Microfluidic Biochips: Fault Models, Test and Fault Diagnosis
K. Chakrabarty – Duke Univ.

Session 13C: SPECIAL SESSION PANEL: IS UBIQUITOUS RF AT ODDS WITH TEST?
Organizers: A. Khoche – Verigy
Moderator: A. Chatterjee – Georgia Inst. of Tech.

Description: The drive for using RF to provide universal connectivity is forcing various wireless standards being supported in a single device. Moreover this functionality is expected in consumer devices where the cost pressure is significant. The cost pressure coupled with the everlasting quest for miniaturization leads to many of these wireless interfaces being added to a single chip or a package. The instrumentation required for testing an RF interface is traditionally more expensive than the digital, memory or mixed signal components. The proliferation of RF interfaces on a chip/package would push the test cost even higher and could potentially become a bottleneck in enabling such ubiquitous RF devices. This panel will discuss state of RF test technology with respect to the needs of such ubiquitous RF devices to identify the gaps, if any.

Panelists:
O. Martinez – Qualcomm
G. McCarter – Verigy
K. Harvey – Teradyne
K. Schaub – Advantest
P. Berndt – Cypress Semiconductor
M. Berry - Amkor


5th IEEE International Workshop on Silicon Debug and Diagnosis 2008 (SDD 2008)
Wednesday April 30th (4pm - 7pm) - Thursday May 1st (8am - 5pm), 2008
Scope -- Advance Program -- Contact

SDD Scope

top

Troubleshooting how and why systems and circuits fail is important and is rapidly growing in industry significance. Debug and diagnosis may be needed for yield improvement, process monitoring, correcting the design function, failure mode learning for R&D, or just getting a working first prototype. This detective work can however become very tricky. Sources of difficulty include circuit and system complexity, packaging, limited physical access, shortened product creation cycle and time-to-market, the traditional focus on only pass/fail testing and missing tool and equipment capabilities. New and efficient solutions for debug and diagnosis will have a much needed and highly visible impact on productivity.

SDD08 will be held in San Diego, California, USA. It is the fifth in a series of highly successful technical workshops. Its mission and objective is to consider all issues related to debug and diagnosis of systems and circuits – from prototype bring-up to volume production.

SDD Advance Program

top

Wednesday -- Thursday

Wednesday, April 30th, 2008

4:00 pm - 5:00 pm

Opening Session

4:00 pm - 4:15 pm

Opening Remarks
Fidel Muradali (National Semiconductor)
Bart Vermeulen (NXP Semiconductors)

4:15 pm - 5:00 pm
Keynote
Dr. Dipu Pramanik (VP R&D Design For Manufacturability Business Unit, Cadence)
5:00 pm - 5:25 pm Special Session 1
  IEEE P1687 Update – Inside the Chip
Al Crouch (Asset Intertech)
5:25 pm - 5:40 pm Break
5:40 pm - 7:00 pm Paper Session 1
 

Silicon debug of timing failures with debug-oriented scan test patterns
Christian Burmer et al. (Mentor Graphics)

Targeting Leakage Constraints during ATPG
G. Fey et al. (Univ. of Tokyo, Univ. of Bremen, Advantest)

An Industrial Case Study of Diagnosing Randomly Distributed Chain Defects for Process and Yield Improvement
Gunaseelan Ponnuvel et al. (Mentor Graphics)

7:00 pm - 9:00 pm Social Event

Thursday, May 1st, 2008

8:00 am - 9:15 am

Paper Session 2

 

An Automated Software Solution to Silicon Debug
Yu-Shen Yang et al. (Univ. of Toronto, McMaster Univ.)

Methodology for Hardware/Software co-debug
Gertjan Arnoldussen et al. (Philips, NXP Semiconductors)

A New Approach for Capturing Trace Data from SoCs
Alexander Weiss et al. (Accemic GmbH & Co., Dresden Univ.)

9:15 am - 10:05 am Special Session 2
 

Innovation from Debug: Creatively Reducing a Ground Loop
Hugh Weinrich (National Semiconductor)

Boosting yield learning by leveraging advanced design automation during failure analysis
Simona Pappalardo (ST MicroElectronics)

10:05 am - 10:25 am Break
10:25 am - 10:30 am Soapbox
10:30 am - 12:00 pm Panel
12:00 pm - 1:00 pm Lunch
1:00 pm - 1:05 pm Soapbox
1:05 pm - 2:25 pm Paper Session 3
 

Rapid Navigation for Semiconductor Failure Analysis Without Requiring Layout vs. Schematic (LVS) Information
Roger Nicholson et al. (DCG Systems, Inc.)

A Learning of Process Interacted Silicon Debug of UltraSPARC T2 Microprocessor
P.J. Tan (Sun Microsystems)

Hardware/Software Co-Design/Execution Approach to Silicon Debug and Diagnosis
Masahiro Fujita et al. (Univ. Tokyo)

An Efficient and Cost Effective Methodology for Post Silicon Test Pattern Generation
Andy Chang et al. (Infineon)

2:25 pm - 3:15 pm Special Session 3
 

The role that ATE can play in Silicon Debug and Diagnosis
Don Blair (Verigy)

Post silicon debug and validation of a multi-million gate highdefinition digital TV controller
Miron Abramovici (DAFCA)

3:15 pm - 3:30 pm Break
3:30 pm - 4:45 pm Paper Session 4
 

A case study on SoC low-cost Silicon Debug and Diagnosis
D. Appello et al. (ST Microelectronics, Politecnico Torino)

Study on Hardware Overhead Reduction for Memory BIST
Kentaro Osawa et al. (Univ. Tokyo)

A Novel Hardware Description language for efficient debug and diagnosis of digital circuits
Michele Portolan et al. (Alcatel- Lucent)

4:45 pm - 5:00 pm Wrap-up
  Fidel Muradali (National Semiconductor)
Bart Vermeulen (NXP Semiconductors)

 

SDD Contact

top

General information contact:

Fidel Muradali
National Semiconductors
Email: fidel.muradali@nsc.com

For more information, visit us on the web at: http://www.sdd-online.org

The 5th IEEE International Workshop on Silicon Debug and Diagnosis (SDD07) is sponsored by the Institute of Electrical and Electronics Engineers (IEEE) Computer Society's Test Technology Technical Council (TTTC).


7th IEEE WORKSHOP on TEST of WIRELESS CIRCUITS and SYSTEMS (WTW 2008)
Sunday April 27th, 2008

Scope -- Advance Program

WTW Scope

top
The Wireless Test Workshop (WTW2008) is an IEEE-sponsored workshop devoted to exploring all issues relating to the design and especially test of wireless circuits and systems. The workshop will be held the day before the VLSI Test Symposium (VTS 2008).

WTW Advance Program

top

Sunday, April 27th, 2008

8:30 am - 8:45 am

Opening Address:
General Chair: R. Aitken - ARM
Program Chair: M. Slamani - IBM

8:45 am - 10:00 am

Session 1: Keynote Speaker & Invited Speaker

8:45 am - 9:30 am

The Convergence of Media and Telecommunications Pushing Ahead
Christopher Douglass, Wireless Strategy and Next Gen Solution Executive
IBM Global Telecommunicatyions

9:30 am - 10:00 am

SiP/SoC Test Challenges
Octavio Martinez - Qualcomm

10:00 am - 10:30 am BREAK - Student Poster Session
10:30 am - 12:00 pm
Session 2: Non-Traditional Test Techniques
10:30 am - 11:00 am

Towards 100Gbps: Scaling Trends for High- Performance ATE
David Keezer - Georgia Tech

11:00 am - 11:30 am

Hybrid Non-Contact Testing for Advanced Packaging
Brian Moore - Scanimetrics Inc

11:30 am - 12:00 pm Optimized Implementations of DC Testing for RF Products
Salem Abdennadher - Intel
12:00 pm - 1:00 pm LUNCH
1:00 pm - 2:30 pm
Session 3: On-Chip/On-Board DFT
1:00 pm - 1:30 pm

Testing the DigRF 3G Baseband to RF Interface: BIST Allows a Low Cost Production Solution
Larry Luce - Freescale

1:30 pm - 2:00 pm

Automatic Matching Control System for Loadboard Test
Jaeseok Kim & William Eisenstadt - University of Florida;Ho-Hsin Yeh & Kathleen Melde - University of Arizona

2:00 pm - 2:30 pm

Range Calibration and Phase Noise Characterization of a High-Performance PLL with Integrated VCO
C. Montiel, C. Pearson, K. Vasanth, C. Yots, & P. Arora - Texas Instruments

2:30 pm - 3:00 pm BREAK - Student Poster Sessions
3:00 pm - 5:30 pm

Session 4: Reliability & Built-in Test for Embedded Communications Circuits

3:00 pm - 3:30 pm

HTOL/Latch-up Issues on RF-CMOS Products: A Case Study
Gaurav Verma - Qualcomm

3:30 pm - 4:00 pm

Loop-Back Mode for Characterization of an Audio CODEC for Mobile Application
A. Owzar, E. Baykal, N. Haandbaek,W. Groeteweg, M. Helfenstein - NXP

4:00 pm - 4:30 pm

Robust RF BICS with Novel I-V Conversion Input Stage for 65-nm CMOS Technology
John Liobe & Martin Margala - University of Massachusetts Lowell

4:30 pm - 5:00 pm

Digitally-Assisted Analog/RF Testing for Wireless SoCs: A Weaver Image-Reject Receiver Case Study
Hsiu-Ming (Sherman) Chang & Kwang-Ting (Tim) Cheng Min-Sheng (Mitchell) Lin - University of California, Santa Barbara Broadcomm

5:00 pm - 5:30 pm Student Poster Session
For more information, visit us on the web at: www.wtw2008.tec.ufl.edu/

The 7th IEEE Workshop on Test of Wireless Circuits and Systems (WTW 2008) is sponsored by the Institute of Electrical and Electronics Engineers (IEEE) Computer Society's Test Technology Technical Council (TTTC).


IEEE TTTC Test Technology Educational Program
TTEP 2008

Tutorial 1 -- Tutorial 2 -- Tutorial 3

Tutorial 1

top

Sunday, April 27th 2008 - 8:30 am - 4:40 pm

Subject: Soft Errors: Technology Trends, System Effects, Protection Techniques and Case Studies

Presenters: Subhasish Mitra (Stanford University), Pia Sanda (IBM), Norbert Seifert (Intel)

Audience: Researchers and practitioners interested in architecture, modeling, design, CAD, test and reliability

Description: Radiation-induced soft errors are getting worse in digital systems manufactured in advanced technologies. Stringent data integrity and availability requirements of enterprise computing and networking applications demand special attention to soft errors in sequential elements and combinational logic. This tutorial discusses the impact of technology scaling on soft error rates, circuit-level modeling of soft errors, architectural impact of soft errors, challenges associated with evaluation of run-time behaviors of systems in the presence of soft errors, actual data on system behaviors in the presence of soft errors, metrics for quantifying soft error vulnerabilities, design of architectures with Built-in-Soft-Error-Resilience techniques, and actual case studies.

Tutorial 2

top

Thursday, May 1st, 2008 - 8:30 am - 4:30 pm

Subject: Practices in Analog, Mixed-signal and RF Testing

Presenters: Salem Abdennadher (Intel), Saghir Shaikh (Cadence)

Audience: This tutorial is most suitable for design, test and DFT engineers involved in actual implementation of mixed-signal, analog, RF and wireless devices and systems. The architects and engineering managers would also greatly benefit from this tutorial.

Description: The objective of this tutorial is to present existing industry ATE solutions and alternatives to testing of mixed-signal and RF SoCs. These techniques greatly rely upon DFT and BIST structures. The tutorial presents the basic concepts in analog and RF measurements (eye diagram, jitter, gain, power compression, harmonics, noise figure, phase noise, BER, etc.). Several industrial examples of production testing of mixed-signal and RF devices, such as, SERDES transceivers, PHYs, HSIO, and RF transceivers are also presented. The block-DFT solutions are presented for PLLs, CDR, equalizers, filters, mixers, AGC, LNAs, DACs and ADCs. The testing of high speed IO interfaces, such as, PCIe, and SATA, etc, and the new design trends in RF systems such as MIMO and SiP based systems and their testability are also presented in this tutorial.

Tutorial 3

top

Thursday, May 1st, 2008 - 8:30 am - 4:30 pm

Subject: Statistical Screening Methods Targeting "Zero Defect" IC Quality and Reliability

Presenter: Adit Singh (Auburn University)

Audience: Test and Reliability Engineers, Engineering Managers, Reliability and Quality Assurance Managers, Researchers and Research Students.

Description: Integrated circuits have traditionally all been tested identically in the manufacturing flow. However, as the detection of subtle manufacturing flaws becomes ever more challenging and expensive in aggressively scaled nanometer technologies, innovative new statistical screening methods are being developed that attempt to improve test effectiveness and optimize test costs by adaptively subjecting "suspect" parts to more extensive testing. The idea is similar to security screening at airports. Such methods fall into two broad categories: those that exploit the statistics of defect distribution on wafers, and those that exploit the correlation in the variation of process and performance parameters on wafers. This tutorial presents test methodologies that span both these categories, and illustrates their effectiveness with results from a number of recently published experimental studies on production circuits from several companies.

For more information on VTS 2008, SDD, WTW & TTEP Tutorials, visit us on the web at: http://www.tttc-vts.org

IEEE Computer Society- Test Technology Technical Council

TTTC CHAIR
Adit D. SINGH
Auburn University - USA
Tel. +1-334-844-1847
E-mail adsingh@eng.auburn.edu

SENIOR PAST CHAIR
Yervant ZORIAN
Virage Logic - USA
Tel. +1-510-360-8035
E-mail yervant.zorian@viragelogic.com

TTTC 2ND VICE CHAIR
Chen-Huan CHIANG

Alcatel-Lucent - USA
Tel. +1-973-386-6759
E-mail chenhuan@alcatle-lucent.com

FINANCE
Adit D. SINGH
Auburn University - USA
Tel. +1-334-844-1847
E-mail adsingh@eng.auburn.edu

DESIGN & TEST MAGAZINE
Tim CHENG
University of California, Santa Barbara - USA
Tel. +1-805-893-72942
E-mail timcheng@ece.ucsb.edu

TECHNICAL MEETINGS
Chen-Huan CHIANG
Alcatel-Lucent - USA
Tel. +1-973-386-6759
E-mail chenhuan@alcatle-lucent.com

TECHNICAL ACTIVITIES
Victor Hugo CHAMPAC
Instituto Nacional de Astrofisica - Mexico
Tel.+52-22-470-517
E-mail champac@inaoep.mx

ASIA & SOUTH PACIFIC
Hideo FUJIWARA
Nara Institute of Science and Technology - Japan
Tel. +81-74-372-5220
E-mail fujiwara@is.aist-nara.ac.jp

LATIN AMERICA
Marcelo LUBASZEWSKI
Federal University of Rio Grande do Sul - Brazil
Tel. +34-93-401-6603
E-mail luba@vortex.ufrgs.br

NORTH AMERICA
William R. MANN
Tel. +1-949-645-3294
E-mail william.mann@ieee.org

COMMUNICATIONS
Adit D. SINGH
Auburn University - USA
Tel. +1-334-844-1847
E-mail adsingh@eng.auburn.edu

INDUSTRY ADVISORY BOARD
Yervant ZORIAN
Virage Logic - USA
Tel. +1-510-360-8035
E-mail yervant.zorian@viragelogic.com

 

PAST CHAIR
André IVANOV
University of British Columbia - Canada
Tel. +1-604-822-6936
E-mail ivanov@ece.ubc.ca

TTTC 1ST VICE CHAIR
Michael NICOLAIDIS
TIMA Laboratory - France
Tel. +33-4-765-74696
E-mail michael.nicolaidis@imag.fr

SECRETARY
Christian LANDRAULT
LIRMM - France
Tel. +33-4-674-18524
E-mail landrault@lirmm.fr

INTERNATIONAL TEST CONFERENCE
Jill E. SIBERT
Raspberry Comm.
- USA
Tel. +1-484-894-1111
E-mail jill_sibert@raspberrycom.com

TEST WEEK COORDINATION
Yervant ZORIAN
Virage Logic - USA
Tel. +1-510-360-8035
E-mail yervant.zorian@viragelogic.com

TUTORIALS AND EDUCATION
Dimitris GIZOPOULOS

University of Piraeus
- Greece
Tel. +30-210-414-2372
E-mail dgizop@unipi.gr

STANDARDS
Rohit KAPUR

Synopsys
- USA
Tel. +1-650-934-1487
E-mail rkapur@synopsys.com

EUROPE
Zebo PENG
Linköping University - Sweden
Tel. +46-13-282-067/-281-000
E-mail zpe@ida.liu.se

MIDDLE EAST & AFRICA
Ibrahim HAJJ
American University of Beirut - Lebanon
Tel. +961-1-341-952
E-mail ihajj@aub.edu.lb

STANDING COMMITTEES
Michael NICOLAIDIS
TIMA Laboratory - France
Tel. +33-4-765-74696
E-mail michael.nicolaidis@imag.fr

ELECTRONIC MEDIA
Alfredo BENSO
Politecnico di Torino - Italy
Tel. +39-011-564-7080
E-mail alfredo.benso@polito.it


This message contains public information only. You are invited to copy and distribute it further.

For more information contact the TTTC office or visit http://tab.computer.org/tttc/

To remove your name from this mailing list, please email unsubscribetttc@cemamerica.com or login to the TTTC Database and uncheck the EBS (Electronic Broadcast Service) box, which can modified by selecting "Edit" next to "My Subscriptions".